您当前的位置:五五电子网电子知识单片机-工控设备嵌入式系统-技术快速实现基于AMBA 3 AXI协议的设计 正文
快速实现基于AMBA 3 AXI协议的设计

快速实现基于AMBA 3 AXI协议的设计

点击数:7437 次   录入时间:03-04 11:56:05   整理:http://www.55dianzi.com   嵌入式系统-技术

    要想正确领会工程师在基于AMBA 3 AXI协议的设计中所面临的设计挑战复杂性,必须首先了解AMBA 3 AXI协议自身的技术特点。AMBA 3 AXI协议由30多家公司协作开发,用于满足下一代设计的需要。AMBA 3 AXI协议定义了一个单向的通道架构,能够有效地使用寄存器分段实现更高速度的管道连接。凭借对多事务处理和乱序事务处理的支持,再加上对读、写和地址/控制通道的有效利用,它能使系统获得更高的性能和效率,系统性能仅受限于其外围设备的自身性能。
  
AMBA 3 AXI协议:
通道能力
    通道概念的引入使AMBA 3 AXI架构与以前的AMBA协议有很大的不同。5个独立通道的每一个都由一组信息信号组成,并使用双向VALID和READY握手机制。信息源使用VALID信号表明通道上的数据和控制信息何时有效,目的端使用READY信号表明何时能够接收数据。读数据通道和写数据通道都包含一个LAST信号,以显示事务处理中最后一个数据项的传递何时发生。每一个读事物处理和写事物处理都有自己的地址通道,地址通道中包含了事物处理所需的所有地址和控制信息。读数据通道传送读数据和任何由从设备返回到主设备的读响应信息,其数据总线宽度可以是8位~1024位,读响应信息表明读事物处理的完成状态。写数据通道传送主设备到从设备的写数据,其数据总线宽度可以是8位~1024位。写数据通道还包括1个字节的狭窄通道,对每8个数据位进行过滤,表明数据总线上哪些字节是有效的。对独立数据传送的支持使总线得到了更有效的使用,能获得更高的性能、更低的时延和增强的带宽操作。

针对AMBA 3 AXI的DesignWare IP 解决方案
    基于AMBA 3 AXI协议的复杂性,设计和验证一个基于该标准的子系统变成一项主要工作,并需要大量的专门技术。通过提供给工程师公共的设计和验证IP模块,实现从模块级到子系统级的快速实现和确认,针对AMBA 3 AXI的DesignWare IP 解决方案使设计变得轻松。另外,coreAssembler 工具可实现子系统的自动装配,并自动产生测试平台架构。当使用DesignWare IP 解决方案时,设计者能够快速装配、综合和验证基于AMBA 3 AXI的设计。

DesignWare综合IP
    DesignWare的综合IP是三部分解决方案中的第一部分,它实现了基于AMBA 3 AXI协议的高带宽、低时延和高性能设计的快速应用。综合IP包括3个关键的组件:互连总线结构、AXI到AHB子桥、主/从通用接口模块。这些组件是任何SoC新设计的基本构造模块,并能使设计者轻松实现基于AMBA 3 AXI协议的体系架构。这些IP组件也有助于将现有IP和特定应用模块转换至AMBA 3 AXI架构,因此确保了更高的资源重用性。

    DesignWare互连架构(DW_axi)是基于AMBA 3 AXI 协议设计中标准互连架构的最佳解决方案。DW_axi的配置灵活性提供给工程师一组功能集合,以满足他们具有优先级的设计需求。DW_axi是一个AMBA 3 AXI协议的RTL互连实现,在那里不同的主、从设备之间会发生同时的数据传输。组件可以被配置成支持高达16个主设备和从设备,它们都支持所有指定了地址和数据宽度的协议。DW_axi基于一个多地址、多数据的总线架构,并带有一个可选的内建系统解码器。每一个事物处理在地址通道上都有地址和控制信息,以描述数据被传送的特性。数据在主设备和从设备之间传送,可通过写数据通道传送至从设备,或通过读数据通道传送至主设备。在写事物处理中,AMBA 3 AXI协议有一个额外的写响应通道,允许从设备给主设备发信号表明写事物处理已完成。这种多层互连的体系结构允许在所有5个AMBA 3 AXI通道的不同主、从设备对之间进行并行数据传输。因此,系统带宽不受DW_axi的限制,而是受限于外部的主、从设备。

    除了提供组合的内建地址和数据通道控制外,DW_axi通过提供内部和外部的流水线操作功能,即所谓的寄存器分段,还实现了增强和优化的工作频率、带宽和性能。可配置的内部和外部寄存器分段能被应用到全路径或只是前向控制路径的单独通道,提供对定时选择的最大灵活性,并产生最佳的性能。为了优化DW_axi以达到最佳的性能同时还保证最小的面积,DW_axi中包括了从设备可见功能,当不是所有的子设备对所有主设备都可见的时候予以使用。它定制了正常模式和启动模式下主设备访问每一个子设备的可见性,从而减少了仲裁逻辑的复杂性,能够用最少的逻辑达到最佳的性能。



图1  使用DesignWare验证IP创建一个子系统验证环境


    为了实现AMBA 3 AXI协议与现有AMBA 2.0技术的互操作能力,DesignWare IP 解决方案包括一个AMBA 3 AXI到AMBA 2.0 AHB 的桥接(DW_axi_x2h)。DW_axi_x2h可支持同基于AMBA 2.0 AHB子系统的连接,提供对现有基于AHB子系统的可重用支持。 在Lite模式下,独立的从设备组件能够直接连接到基于AMBA 3 AXI协议的总线上。这种互操作能力的优势是,工程师可以访问大量针对AMBA 2.0 AHB和APB 协议、已经过硅验证的现有DesignWare综合和验证IP 。这增加了模块重用的机会,使工程师可以效力于开发具有差异性的新型子系统,而不必在创建和确认普通子系统上花费过多时间。DesignWare综合IP解决方案还包括其它普通的基于AMBA 2.0 的设计模块,比如AHB总线架构、DW_ahb、APB桥、APB结构、DW-apb,以及很多外围模块如DMA、内存控制器、I2C、SSI、GPIO 、RTC 、定时器和中断控制器。

    另外一组可重用架构组件是DesignWare的通用主/从接口模块DW_axi_gm/gs。DW_axi_gm/gs使用通用接口,降低了把定制IP或特定应用移植到基于AMBA 3 AXI协议总线时的复杂度。当把定制应用或定制IP连接到基于AMBA 3 AXI协议的总线上时,工程师不必担心协议的细节,因为DW_axi/gs模块可以处理AMBA 3 AXI的大部分接口细节。使用通用接口模块,工程师能够有更多时间关注连接到AMBA 3 AXI总线后用户应用的验证检查工作。

DesignWare验证IP
    快速实现基于AMBA 3 AXI协议设计的DesignWare IP解决方案的第二部分是针对AMBA 3 AXI的Synopsys DesignWare验证IP(VIP),它提供了一种快速并有效地验证基于AMBA 3 AXI 的SoC设计的方法。针对AMBA 3 AXI的DesignWare验证IP包括以下的组件:主设备、从设备、监视器和验证互连。每一个组件都支持所有的AMBA 3 AXI地址和数据宽度,以及所有的协议转换和响应类型。主/从设备验证IP用来产生事务处理,并对事物处理作出响应。验证互连实现了一个全互连功能子系统的创建,可以对多模块交互的模块级集成进行测试。验证IP包括一个全功能的命令集,可实现直接的事务处理和基于命令的测试。验证IP能够快速清除互连错误、功能错误,并完成很多边界情况的测试。



图2  DesignWare验证IP的系统级验证


    验证IP已经获得了AMBA 3 的标识认证,这说明DesignWare验证IP已被证明正确实现了AMBA 3 AXI的相关规范,该规范的定义来自ARM基于声明的AXI协议规则集合。当在模块级使用验证IP时,模块接口将被测试以证明其符合AMBA 3 AXI协议规范,这使得从模块级到最终子系统的集成工作变得轻松。DesignWare验证IP也可以用在子系统级,测试主/从模块的交互,同时创建真实的应用数据流量。这时,得到了AMBA 3 认证的DesignWare验证IP可确保AMBA 3 AXI协议规范没有被违犯。

DesignWare的验证IP和参考验证方法学
    DesignWare验证IP支持一个可重用分层的、覆盖驱动的、受约束的随机验证方法学,用来快速产生数以千计的事物处理。

    受约束的随机验证产生由Synopsys参考验证方法学(RVM)定义。该方法学定义了一个强有力的方法用来自动产生事物处理,实现最大数目的协议组合。对于大型的复杂设计,受约束随机的、覆盖驱动的方法学与传统的直接测试方法学相比提供了更高的生产力和质量验证。在直接测试方法中,工程师编写独立的测试向量来验证特定的设计功能。这种处理方法非常耗费时间,特别是对有大量关联功能的复杂设计。

    在受约束的随机、覆盖驱动方法中,工程师编写由高级约束描述的真实输入。先进的验证工具和验证IP将使用这些约束自动产生几千种测试向量对设计进行验证。功能覆盖用来指导验证和测量的过程。结果是验证质量目标能够更容易地在投片前得到满足,甚至对大多数复杂设计也是如此。用来实现受约束随机验证环境的参考验证方法学建立在一个可重用的基础架构之上,它使得在一个模块级的测试可以在模块级、子系统级,甚至跨越多项目进行重用。RVM驱动的验证IP意味着设计工程师仅需编写最少量的测试向量,然后依次产生几千种测试向量,全面地将实际的总线流量加载到模块或子系统中。Synopsys 基于RVM的环境提供了一个对所有验证组件的统一接口,因此更容易理解并能够快速地跨越其它项目被重用。




    要加速基于AMBA 3 AXI协议的系统验证,DesignWare验证IP应当被用来验证单独的组件接口,并在子系统使用,最后在全系统级使用。在模块级,验证IP用来产生有效和精确的激励源测试AMBA 3 AXI接口应用。如果测试向量是按照RVM的规则编写的,那么在这一级创建的测试向量将能够在子系统级重新运行。

[1] [2]  下一页


本文关键字:暂无联系方式嵌入式系统-技术单片机-工控设备 - 嵌入式系统-技术