res: IN STD_LOGIC;
data: IN STD_LOGIC_VECTOR(7 downto 0);
ledout: OUT STD_LOGIC_VECTOR(7 downto 0);
sel: OUT STD_LOGIC_VECTOR(2 downto 0)
);
END led_display;
ARCHITECTURE led_architecture OF led_display IS
BEGIN
process(ledclk,res,data)
begin
if ledclk′event and ledclk=′1′ then
if res=′1′ then ledout<="00000000";
end if;
case data is
when "00000000" =>ledout<="00111111";
//显示数据0
when "00000001" =>ledout<="00000110";
//显示数据1
when "00000010" =>ledout<="01011011";
//显示数据2
: :
: :
when "00001111" =>ledout<="01110001";
//显示数据F
when others =>null;
end case;
end if;
sel<="000"; end process;
END led_architecture;
4 系统下载与调试结果
在QUARTus II 7.2中建立项目后,输入顶层设计文件及各个模块的VHDL程序代码,编译、仿真、管脚分配之后产生编程文件,将编程文件下载到FPGA芯片EP2C5Q208上,通过串口线连接实验箱与PC机进行调试及验证。通过FPGA的4×4键盘输入0~F数据,并发送给PC机,PC机可以正确接收到所发送的数据;同时,通过PC机串口调试助手发送数据给FPGA时,FPGA也可以准确接收到相应数据,并且将接收到的数据在数码管上显示;改变波特率时也可以实现上述功能。实验结果表明,本设计满足设计要求。
本设计运用FPGA开发平台将串行通信控制系统集成在一片ALTEra EP2C5芯片中,提高了系统的质量和可靠性。同时,由于基于FPGA的系统设计能够在现场进行编程及调试,具有很大的灵活性,可以方便地进行修改完善,用户可以在不改变电路系统的情况下,进行反复编程和随意修改。一旦设计成熟,也可以制成ASIC芯片,不但大大降低了设计风险,也可以节约成本。
参考文献
[1] 李迎九,肖柳明.基于FPGA的数字通信系统同步电路的设计[J].湘潭师范学院学报(自然科学版),2005,27(1).
[2] KUNG Yingshieh, Chen Chiasheng, Wong Kiinging, et al. Development of a FPGA-based control IC for PMSM drive with adaptive fuzzy control[C]. Proceeding of IEEE Industial EleCTRonics Society, IEOON 2005, 2005: 1544-1545.
[3] 潘松,黄继业.EDA技术与VHDL[M].北京:清华大学出版社,2009.
[4] 宋兵跃,吴军辉,黄斌.单片机的高效串行通信研究[J].单片机与嵌入式系统应用,2010(1):27-29.
[5] 王兴亮.通信系统原理教程[M].西安:西安电子科技大学出版社,2007.
[6] 李晶皎,李景宏,曹阳.逻辑与数字系统设计[M].北京:清华大学出版社,2008.
上一页 [1] [2]
本文关键字:通信 控制系统 DSP/FPGA技术,单片机-工控设备 - DSP/FPGA技术