您当前的位置:五五电子网电子知识单片机-工控设备DSP/FPGA技术 列表
DSP/FPGA技术
更新时间: 03-04

基于模式的SoC设计方法研究

 引 言   SoC (system on Chip) 是微电子技术发展的一个新的里程碑,SoC不再是一种功能单一的单元电路,而是将信号采集、处理... [详细]

阅览量:312 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

基于PIC芯片嵌入式电机控制器的研究

 0 引 言 随着现代科学技术的飞速发展和社会的进步,服务机器人与人们的生活联系越来越紧密,故日益受到关注。 电机控制器... [详细]

阅览量:227 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

基于DSP的实时图像处理系统(一)

阅览量:395 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

FPGA全局时钟资源相关原语及使用

  FPGA 全局 时钟资源 一般使用全铜层工艺实现,并设计了专用时钟缓冲与驱动结构,从而使全局时钟到达芯片内部的所有可配置... [详细]

阅览量:690 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

基于FPGA的可重构系统及其结构分析

 1 引言  电子系统功能实现的模式不外硬件和软件两种。基于冯.诺依曼或者哈佛体系结构的通用微处理器( MPU 、MCU、DSP等... [详细]

阅览量:808 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

高清电视音频解码的定点DSP实现

 数字技术的高速发展使广播电视进入了由彩色电视向 高清晰度电视 ( HDTV) 跨越的过渡时代,音/ 视频产品数字化、高清晰度化已... [详细]

阅览量:636 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

基于FPGA的LCD&VGA控制器设计

飞机座舱图形显示系统已发展到第六代,即采用有源矩阵彩色液晶显示器AMLCD(Active Matrix Liquid Crystal Display)。当前高分辨... [详细]

阅览量:799 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

SEED DEC6713 DSP嵌入式开发板图纸

机型名称SEED DEC6713 DSP嵌入式开发板图纸简介 文件格式rar应用平台Win9x,WinNT,WinME,Win2000,WinXP下... [详细]

阅览量:988 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

直扩系统PN码捕获和跟踪的FPGA实现

摘要:在数字匹配滤波器和超前滞后鉴相环路的理论基础上,采用VHDL编程,在FPGA芯片上实现PN码捕获和跟踪的电路。详细讨论了各电... [详细]

阅览量:795 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

一种基于FPGA的误码性能测试方案

在数字通信系统的性能 测试 中,通常使用误码分析仪对其误码性能进行测量。它虽然具有简单易用、 测试 内容丰富、误码测试结果直... [详细]

阅览量:432 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

基于ADSP-BF533处理器的H.264解码器(一)

摘 要:H.264标准拥有比其他视频编码标准更好的压缩性能,但计算复杂度高,限制了H.264标准的应用。Blackfin处理... [详细]

阅览量:980 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

基于FPGA的A/D转换采样控制模块的设计

摘要:本文采用FPGA器件EP1C6T144C8芯片代替单片机控制A/D转换芯片ADC0809进行采样控制,整个设计用VHDL语言描述,在QUARTusⅡ平... [详细]

阅览量:706 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

基于FPGA的直接数字频率合成器的设计和实现

概述 直接数字频率合成技术(Direct Digital Frequency Synthesis,即DDFS,一般简称DDS),是从相位概念出发直接合成所需要... [详细]

阅览量:198 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

FPGA配置模式

FPGA有多种配置模式:并行主模式为一片FPGA加一片EPROM的方式;主从模式可以支持一片PROM编程多片FPGA;串行模式可以采用串行PROM... [详细]

阅览量:206 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

基于CCS的DSP片外Flash直接烧写设计

基于CCS的DSP片外Flash直接烧写设计自加载后DSP能够正常运行,关键是Flash中原程序代码的正确烧写。CCS编译生成的... [详细]

阅览量:878 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

基于FPGA和DDS的信号源设计

 1 引言 直接数字频率合成 DDS (Direct Digital Synthesizer)是基于奈奎斯特抽样定理理论和现代器件生产技术发展的一种新... [详细]

阅览量:849 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

基于FPGA的CORDIC算法的原理改进及实现

 坐标旋转计算机CORDIC(The Coordinate Rotational Digital Computer)算法是一种用于计算一些常用的基本运算函数和算术操作的循... [详细]

阅览量:349 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

基于单片机的通信转换器在远程测控系统中设计

 1 前 言  随着计算机网络和通信技术的飞速发展,远程测控技术在工业生产过程中得到了广泛的应用,各种测量参数的网络... [详细]

阅览量:262 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

基于FPGA/CPLD的常见模块设计案例

一、智能全数字锁相环的设计 1 引言 数字锁相环路已在数字通信、无线电电子学及电力系统自动化等领域中得到了极为广泛的应用。... [详细]

阅览量:908 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

基于FPGA的VGA显示控制器的设计

随着CCD(电荷耦合器件)和CMOS(互补金属氧化物半导体)图像传感器制造工艺的发展,图像传感器的分辨率越来越高,如果要实时显示图... [详细]

阅览量:105 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

FPGA平台实现最小开关损耗的SVPWM算法

摘要:详细分析了SVPWM的原理,介绍一种根据负载的功率因子来决定电压空间零矢量的分配与作用时间的SVPWM算法,使得桥臂开关在通... [详细]

阅览量:365 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

基于DSP的免持车载系统开辟新天地

 这几年,许多国家都通过或推荐审议相关法律,禁止司机驾驶时使用手持电话。一些生产商也发布了 免持 车载移动电话系统。 ... [详细]

阅览量:825 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

基于FPGA平均值原理相位差计的设计

  相位差 的测量在研究网络特性中具有重要作用,如何快速、精确地测量相位差已成为生产科研中的重要课题。测量相位差的方法... [详细]

阅览量:529 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

基于DSP和ADS8364的高速数据采集处理系统

出错啦您输入的网址无法访问,请检查或用114搜索您需要的网址! 《114互联网搜索服务常见问题说明》 [详细]

阅览量:471 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

一种基于ADSP-BF537的无线视频传输方案

 0 引 言  无线 通信技术和视频压缩技术的迅速发展,使得无线 视频传输 成为人们研究的热点。无线视频传输具有数据量大,... [详细]

阅览量:812 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

利用Virtex-5 FPGA实现更高性能的方法

在 FPGA 系统设计中,要达到性能最大化需要平衡具有混合性能效率的元器件,包括 逻辑 构造(fabrIC)、片上存储器、DSP和I/O带... [详细]

阅览量:585 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

用CPLD和Flash实现FPGA配置

 电子设计自动化EDA(EleCTRonIC Design Automation)是指以计算机为工作平台,以EDA软件为开发环境,以硬件描述语言为设计语... [详细]

阅览量:233 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

复用器重构降低FPGA成本

摘 要: 本文介绍了一种新的复用器重构算法,能够降低FPGA实际设计20%的成本。该算法通过减少复用器所需查找表(LUT)的数量来实... [详细]

阅览量:894 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

FPGA电路调试时要遵循的原则和技巧

在调试FPGA电路时要遵循一定的原则和技巧,才能减少调试时间,避免误操作损坏电路。一般情况下,可以参考以下步骤进行FPGA硬件系... [详细]

阅览量:336 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

基于FPGA的IRIG-B(DC)码产生电路设计

摘要:提出了一种IRIG-B(DC)码产生电路的设计方法。采用ALTEra公司低功耗Cyclone FPGA系列中的EPlC6T144、8段数码管、晶体振荡器... [详细]

阅览量:862 分类:DSP/FPGA技术 评级:  

总数:312930 上一页1 ...5 6 7 8 9 ...105下一页